http://www.delayinsensitive.com

BIBLIOGRAPHY

[1]

Parosh Aziz Abdulla, Yu-Fang Chen, Lukáš Holík, Richard Mayr, and Tomáš Vojnar. When
simulation meets antichains. In Tools and Algorithms for the Construction and Analysis of
Systems, pages 158–174. Springer, 2010. [cited on page 76, 78, 175]

[2]

Samson Abramsky and Achim Jung. Domain theory. Handbook of logic in computer science,
3:1–168, 1994. [cited on page 577, 580]

[3]

Samson Abramsky and C-H Luke Ong. Full abstraction in the lazy lambda calculus. Information
and Computation, 105(2):159–267, 1993. [cited on page 56]

[4]

Susumu Adachi. Inner-independent radius-dependent totalistic rule of universal asynchronous
cellular automaton. In International Conference on Cellular Automata, pages 546–555.
Springer, 2014. [cited on page 250]

[5]

Susumu Adachi, Jia Lee, Ferdinand Peper, and Hiroshi
Umeo. Universality of 2-state asynchronous cellular automaton with
inner-independent totalistic transitions. In 16th International
Workshop on Cellular Automata and Discrete Complex Systems, pages
153–172, 2010.  [cited on page 250]

[6]

Dharma P. Agrawal. Graph theoretical analysis and design of multistage interconnection
networks. Computers, IEEE Transactions on, 100(7):637–648, 1983. [cited on page 220]

[7]

Alfred V. Aho, Monica S. Lam, Ravi Sethi, and Jeffrey D. Ullman. Compilers Principles,
Techniques, & Tools. Addison-Wesley, 2006. [cited on page 53, 245, 268]

[8]

Matthew An, J. Gregory Steffan, and Vaughn Betz. Speeding up FPGA placement: Parallel
algorithms and methods. In Field-Programmable Custom Computing Machines (FCCM), 2014
IEEE 22nd Annual International Symposium on, pages 178–185. IEEE, 2014. [cited on page 19]

[9]

Diogo V. Andrade, Mauricio G. C. Resende, and Renato F. Werneck. Fast local search for the
maximum independent set problem. Journal of Heuristics, 18.4:525–547, 2012. [cited on
page 366]

[10]

Andrew W. Appel, Lennart Beringer, Adam Chlipala, Benjamin C. Pierce,
Zhong Shao, Stephanie Weirich, and Steve Zdancewic. Position paper:
the science of deep specification. Phil. Trans. R. Soc. A, 375(2104),
2017. [cited on page 211]

[11]

Markus Aronsson and Mary Sheeran. Hardware software co-design in Haskell. In Proceedings
of the 10th ACM SIGPLAN International Symposium on Haskell, pages 162–173. ACM, 2017.
[cited on page 18]

[12]

Algirdas Avizienis. The N-version approach to fault-tolerant software. IEEE Transactions on
software engineering, (12):1491–1501, 1985. [cited on page 211]

[13]

David F. Bacon, Rodric Rabbah, and Sunil Shukla. FPGA programming for the masses.
Communications of the ACM, 56(4):56–63, 2013. [cited on page 19]

[14]

W. J. Bainbridge, William B. Toms, David A. Edwards, and Stephen B. Furber. Delay-insensitive,
point-to-point interconnect using m-of-n codes. In Asynchronous Circuits and Systems, 2003.
Proceedings. Ninth International Symposium on, pages 132–140. IEEE, 2003. [cited on page 43]

[15]

Zeineb Baklouti, David Duvivier, Rabie Ben Atitallah, Abdelhakim Artiba, and Nicolas Belanger.
Real-Time Simulator supporting Heterogeneous CPU/FPGA Architecture. In International
Conference on Industrial Engineering and Systems Management, Rabat, Maroc, October 2013.
[cited on page 19]

[16]

Padmanabhan Balasubraminian and Nikos E. Mastorakis. Timing analysis
of quasi-delayinsensitive ripple carry adders–a mathematical study. In
Proc. 3rd European Conference of Circuits Technology and Devices,
pages 233–240, 2012. [cited on page 573]

[17]

A. Bardsley. Implementing Balsa Handshake Circuits. PhD thesis, Department of Computer
Science, University of Manchester, 2000. [cited on page 251]

[18]

H. P. Barendregt. The Lambda Calculus: Its Syntax and Semantics. North-Holland Amsterdam,
1984. [cited on page 56]

[19]

Michael Barr and Charles Wells. Category theory for computing science, volume 1. Prentice
Hall New York, 1990. [cited on page 121]

[20]

J. Beaumont. Variation tolerant asynchronous FPGA. Technical Report
NCL-EEE-MICRO-TR2018-208, Newcastle University, March 2018. [cited on
page 16]

[21]

Peter A. Beerel, Georgios D. Dimou, and Andrew M. Lines. Proteus: An ASIC flow for GHz
asynchronous designs. IEEE Design & Test of Computers, 28(5):36–51, 2011. [cited on page 16]

[22]

Václad E Beneš. Optimal rearrangeable multistage connecting networks. Bell System Technical
Journal, 43(4):1641–1656, 1964. [cited on page 220]

[23]

Igor Benko and Jo Ebergen. Composing snippets. In Proc. International Symposium on
Advanced Research in Asynchronous Circuits and Systems, pages 23–33, April 2000. [cited on
page 532]

[24]

Kees van Berkel. Beware the isochronic fork. Integration, the VLSI journal, 13(2):103–128,
June 1992. [cited on page 30, 37, 573]

[25]

Eike Best, Raymond Devillers, and Maciej Koutny. The box algebra “ Petri nets ` process
expressions. Information and Computation, 178(1):44 – 100, 2002. [cited on page 88]

[26]

Eike Best and Hans-Günther Linde-Göers. Compositional process semantics of Petri boxes. In
Mathematical Foundations of Programming Semantics, pages 250–270. Springer, 1994. [cited
on page 88]

[27]

Joseph K. Blitzstein and Jessica Hwang. Introduction to Probability. Texts in statistical science.
CRC Press, 2014. [cited on page 361]

[28]

Gregor V. Bochmann. Hardware specification with temporal logic: An example. Computers,
IEEE Transactions on, 100(3):223–231, 1982. [cited on page 256]

[29]

Romain Boichat, Partha Dutta, Svend Frølund, and Rachid Guerraoui. Deconstructing Paxos.
SIGACT News, 34(1):47–67, March 2003. [cited on page 533]

[30]

Immanuel M. Bomze, Marco Budinich, Panos M. Pardalos, and Marcello Pelillo. The maximum
clique problem. In Handbook of combinatorial optimization, pages 1–74. Springer, 1999.
[cited on page 358]

[31]

Gaetano Borriello, Carl Ebeling, Scott A. Hauck, and Steven Burns. The triptych FPGA
architecture. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 3(4):491–501,
1995. [cited on page 19]

[32]

Dominique Borrione, Menouer Boubekeur, Laurent Mounier, Marc Renaudin, and Antoine
Sirianni. Validation of asynchronous circuit specifications using IF/CADP. In IFIP International
Conference on VLSI SoC, December 2003. [cited on page 39]

[33]

Gerald J. Brady, Austin J. Way, Nathaniel S. Safron, Harold T. Evensen, Padma Gopalan, and
Michael S. Arnold. Quasi-ballistic carbon nanotube array transistors with current density
exceeding Si and GaAs. Science Advances, 2(9), 2016. [cited on page 17]

[34]

C. Brej and Jim D. Garside. A quasi-delay-insensitive method to overcome transistor variation.
In VLSI Design, 2005. 18th International Conference on, pages 368–373. IEEE, 2005. [cited
on page 573]

[35]

Claude Brezinski and Michela Redivo Zaglia. Extrapolation Methods Theory and Practice,
volume 2 of Studies in Computational Mathematics. North-Holland, 1991. [cited on page 398]

[36]

S. D. Brookes, C. A. R. Hoare, and A. W. Roscoe. A theory of communicating sequential
processes. Journal of the Association for Computing Machinery, 31(3):560–599, 1984. [cited
on page 19]

[37]

Frederick P. Brooks. The Mythical Man-Month. Addison Wesley Longman, Inc., 1995. [cited
on page 18]

[38]

Stephen D. Brown and Zvonko G. Vranesic. Fundamentals of digital logic with VHDL design,
volume 70125910. McGraw-Hill New York, 2000. [cited on page 16, 31, 34, 59, 448]

[39]

Cameron B. Browne, Edward Powley, Daniel Whitehouse, Simon M. Lucas, Peter I. Cowling,
Philipp Rohlfshagen, Stephen Tavener, Diego Perez, Spyridon Samothrakis, and Simon Colton.
A survey of monte carlo tree search methods. IEEE Transactions on Computational Intelligence
and AI in Games, 4(1):1–43, 2012. [cited on page 304]

[40]

Eric Brunvand. A community of asynchronauts: 20+ years of the ASYNC conference. In This
Asynchronous World, Essays dedicated to Alex Yakovlev on the occasion of his 60th birthday,
pages 22–58. Newcastle University, 2016. [cited on page 15]

[41]

Randal E. Bryant. Symbolic boolean manipulation with ordered binary-decision diagrams.
ACM Computing Surveys (CSUR), 24(3):293–318, 1992. [cited on page 68]

[42]

J. A. Brzozowski. Delay-insensitivity and ternary simulation. Theoretical Computer Science,
2000. [cited on page 26, 34]

[43]

J. A. Brzozowski and H. Zhang. Delay-insensitivity and semi-modularity. Technical Report
CS-97-11, Dept. of Comp. Science, Univ. of Waterloo, March 1997. [cited on page 34]

[44]

Janusz A. Brzozowski and Jo C. Ebergen. On the delay-sensitivity of gate networks. IEEE
Transactions on Computers, 41(11):1349–1360, November 1992. [cited on page 30]

[45]

Peter Buchholz and Peter Kemper. Hierarchical reachability graph generation for Petri nets.
Formal Methods in System Design, 21(3):281–315, 2002. [cited on page 68]

[46]

Luca Cardelli, Marta Kwiatkowska, and Max Whitby. Chemical reaction network designs
for asynchronous logic circuits. In International Conference on DNA-Based Computers, pages
67–81. Springer, 2016. [cited on page 18]

[47]

Josep Carmona, Jordi Cortadella, Victor Khomenko, and Alexandre Yakovlev. Synthesis of
asynchronous hardware from Petri nets. In Lectures on Concurrency and Petri Nets, pages
345–401. Springer-Verlag, 2003. [cited on page 531]

[48]

Teena Carroll, David Galvin, and Prasad Tetali. Matchings and independent sets of a fixed
size in regular graphs. arXiv preprint arXiv:1206.3211v1, November 2009. [cited on page 366]

[49]

T. J. Chaney and C. E. Molnar. Anomalous behavior of synchronizer and arbiter circuits. IEEE
Transactions on Computers, C-22(4):421–422, April 1973. [cited on page 35, 405]

[50]

Thomas J. Chaney. My work on all things metastable OR me and my glitch. (self-published)
last available at https://arl.wustl.edu/~jst/cse/260/glitchChaney.pdf. [cited on page 15,
35]

[51]

Thomas J. Chaney. Comments on “A note on synchronizer or interlock maloperation”. IEEE
Transactions on Computers, (10):802–804, 1979. [cited on page 405]

[52]

Chihming Chang and Rami Melhem. Arbitrary size Benes networks. Parallel Processing Letters,
7(03):279–284, 1997. [cited on page 220]

[53]

Kai-Hui Chang, Valeria Bertacco, Igor L. Markov, and Alan Mishchenko. Logic synthesis
and circuit customization using extensive external don’t-cares. ACM Transactions on Design
Automation of Electronic Systems (TODAES), 15(3):26, 2010. [cited on page 59]

[54]

Peter Y. K. Cheung. Are asynchronous ideas useful in FPGAs? In This Asynchronous World,
Essays dedicated to Alex Yakovlev on the occasion of his 60th birthday, pages 87–95. Newcastle
University, 2016. [cited on page 19]

[55]

Chris Chilton, Bengt Jonsson, and Marta Kwiatkowska. An algebraic theory of interface
automata. Technical Report CS-RR-13-02, Department of Computer Science, University of
Oxford, 2013. [cited on page 45, 73]

[56]

Gianfranco Ciardo. Reachability set generation for Petri nets: Can brute force be smart? In
Applications and Theory of Petri Nets 2004, pages 17–34. Springer, 2004. [cited on page 68]

[57]

Koen Claessen, Mary Sheeran, and Satnam Singh. The design and verification of a sorter
core. In Correct Hardware Design and Verification Methods, pages 355–368. Springer, 2001.
[cited on page 202]

[58]

Wesley A. Clark, Mishell J. Stucki, Severo M. Ornstein, and Charles
E. Molnar. Macromodular computer design, part 1, volume 1, overview of
macromodules. Technical Report 44, Computer Systems Laboratory,
Washington University, February 1974. [cited on page 250]

[59]

Edmund M. Clarke, Orna Grumberg, Marius Minea, and Doron Peled. State space reduction
using partial order techniques. International Journal on Software Tools for Technology Transfer,
2(3):279–287, 1999. [cited on page 66]

[60]

Paulo Coelho. The alchemist. Harper Collins, 2007. [cited on page 39]

[61]

Katherine Compton and Scott Hauck. Reconfigurable computing: a survey of systems and
software. ACM Computing Surveys, 34:171–210, 2002. [cited on page 18]

[62]

Jason Cong, Lei He, Cheng-Kok Koh, and Patrick H. Madden. Performance optimization of
VLSI interconnect layout. Integration, the VLSI journal, 21(1):1–94, 1996. [cited on page 19]

[63]

Unicode Consortium. The unicode standard version 9.0.0. http://www.unicode.org/versions/
Unicode9.0.0/, 2016. [cited on page 410]

[64]

James N. Cook. Production rule verification for quasi-delay-insensitive circuits. PhD thesis,
California Institute of Technology, 1993. [cited on page 573]

[65]

Thomas H. Cormen, Charles E. Leiserson, Ronald L. Rivest, and Clifford Stein. Introduction
to Algorithms - Third Edition. MIT Press, 2009. [cited on page 322]

[66]

Krzysztof Czarnecki, John T. O´Donnell, Jörg Striegnitz, and Walid Taha. DSL implementation
in MetaOCaml, Template Haskell, and C++. In Domain-Specific Program Generation, pages
51–72. Springer, 2004. [cited on page 53]

[67]

S. Dasgupta, D. Potop-Butucaru, B. Caillaud, and A. Yakovlev. Moving
from weakly endochronous systems to delay-insensitive
circuits. Electronic Notes in Theoretical Computer Science, 146,
2006. [cited on page 15]

[68]

Al Davis and Steven M. Nowick. An introduction to asynchronous circuit design. In A. Kent
and J. G. Williams, editors, The Encyclopedia of Computer Science and Technology, volume 38.
Marcel Dekker, New York, February 1998. [cited on page 15, 30]

[69]

Nicolaas Govert de Bruijn. Pólya’s theory of counting. Applied combinatorial mathematics,
pages 144–184, 1964. [cited on page 354]

[70]

A. Prasanna de Silva and Nathan D. McClenaghan. Molecular-scale logic gates. Chemistry-A
European Journal, 10(3):574–586, 2004. [cited on page 18]

[71]

Peter J. Denning. The locality principle. Communications of the ACM, 48(7):19–24, 2005.
[cited on page 381]

[72]

David L. Dill. Trace Theory for Automatic Hierarchical Verification of Speed-Independent Circuits.
ACM Distinguished Dissertations. MIT Press, 1989. [cited on page 39, 45, 73, 75, 82, 98,
202, 256]

[73]

David L Dill and Edmund M Clarke. Automatic verification of asynchronous circuits using
temporal logic. IEE Proceedings E (Computers and Digital Techniques), 133(5):276–282, 1986.
[cited on page 256]

[74]

Charles Donnelly and Richard Stallman. Bison: The YACC-compatible parser generator. Free
Software Foundation Cambridge (MA) 02139, 1992. [cited on page 53]

[75]

Luis Tarazona Duarte. Performance-oriented syntax-directed synthesis of asynchronous circuits.
PhD thesis, University of Manchester, 2010. [cited on page 573]

[76]

Chris Dwyer, Moky Cheung, and Daniel J. Sorin. Semi-empirical SPICE models for carbon
nanotube FET logic. In In Proceedings of the Fourth IEEE Conference on Nanotechnology, pages
35–39, 2004. [cited on page 17]

[77]

Matthew B Dwyer, Lori Clarke, et al. A compact Petri net representation and its implications
for analysis. Software Engineering, IEEE Transactions on, 22(11):794–811, 1996. [cited on
page 236]

[78]

Jo Ebergen and Robert Berks. VERDECT: A verifier for asynchronous circuits. IEEE Technical
Committee on Computer Architecture Newsletter, October 1995. [cited on page 26]

[79]

Jo C. Ebergen. Translating Programs into Delay-Insensitive Circuits. PhD thesis, Dept. of Math.
and C.S., Eindhoven Univ. of Technology, 1987. [cited on page 28, 30, 494]

[80]

Jo C. Ebergen. Translating Programs into Delay-Insensitive Circuits, volume 56 of CWI Tract.
Centre for Mathematics and Computer Science, 1989. [cited on page 45]

[81]

Jo C. Ebergen. A formal approach to designing delay-insensitive
circuits. Distributed Computing, 5(3):107–119, 1991. [cited on page
17, 573]

[82]

Jo C. Ebergen, John Segers, and Igor Benko. Parallel program and asynchronous circuit
design. In Graham Birtwistle and Al Davis, editors, Asynchronous Digital Circuit Design,
Workshops in Computing, pages 51–103. Springer-Verlag, 1995. [cited on page 250, 268]

[83]

Albert Einstein. Relativity: The special and the general theory. Princeton University Press,
2015. [cited on page 17]

[84]

Gavril F. Algorithms for a maximum clique and a maximum independent set of a circle graph.
Networks, 3(3):261–73, 1973. [cited on page 366]

[85]

Karl M. Fant. Logically Determined Design. Wiley-Interscience. John Wiley & Sons, Inc., 2005.
[cited on page 25]

[86]

Karl M. Fant and Scott A. Brandt. NULL conventional logic: A complete and consistent logic
for asynchronous digital circuit synthesis. In International Conference on Application-specific
Systems, Architectures, and Processors, pages 261–273, 1996. [cited on page 25, 251]

[87]

Stefan Felsner, Rudolf Miiller, and Lorenz Wernisch. Trapezoid graphs and generalizations,
geometry and algorithms. Discrete Applied Mathematics, 74:13–32, 1997. [cited on page 366]

[88]

Thomas A. Feo, Mauricio G. C. Resende, and Stuart H. Smith. A greedy randomized adaptive
search procedure for maximum independent set. Operations Research, 42:860–878, 1994.
[cited on page 366]

[89]

M. Ferretti, R. Ozdag, and P. Beerel. High performance asynchronous ASIC back-end design
flow using single-track full-buffer standard cells. In Proc. International Symposium on Advanced
Research in Asynchronous Circuits and Systems, pages 95–105. IEEE Computer Society Press,
April 2004. [cited on page 574]

[90]

Laurent Fesquet, Jerome Quartana, Marc Renaudin, et al. Asynchronous
systems on programmable logic. In ReCoSoC, pages 105–112, 2005. [cited
on page 19]

[91]

Mike Field. FPGA Webserver. https://github.com/hamsternz/FPGA_Webserver. [cited on
page 18]

[92]

A. Flocke and T. G. Noll. Fundamental analysis of resistive nano-crossbars for the use in
hybrid Nano/CMOS-memory. In Proc. European Solid-State Circuits Conference (ESSCIRC),
pages 328–331, September 2007. [cited on page 17]

[93]

Brendan Fong. The algebra of open and interconnected systems. PhD thesis, University of
Oxford, 2016. [cited on page 203]

[94]

Dennis Furey. Efficient lattices for market calibrated derivatives valuation. Master’s thesis,
Cass Business School, 2004. [cited on page 401]

[95]

Michael R. Garey and David S. Johnson. Computers and Intractability: A Guide to the Theory
of NP-Completeness. Series of Books in the Mathematical Sciences. W. H. Freeman, 1979.
[cited on page 358]

[96]

Fanica Gavril. Algorithms for minimum coloring, maximum clique, minimum covering by
cliques, and maximum independent set of a chordal graph. SIAM Journal of Computing,
1(2):180–187, June 1972. [cited on page 366]

[97]

James Gleick. Chaos: Making a new science. Random House, 1997. [cited on page 19]

[98]

Patrice Godefroid, J. van Leeuwen, J. Hartmanis, G. Goos, and Pierre Wolper. Partial-order
methods for the verification of concurrent systems: an approach to the state-explosion problem,
volume 1032. Springer Heidelberg, 1996. [cited on page 66]

[99]

C. D. Godsil and M. W. Newman. Eigenvalue bounds for independent sets. arXiv preprint
arXiv:math/0508081v1, 2005. [cited on page 366]

[100]

Alexander A. Green, Jongmin Kim, Duo Ma, Pamela A. Silver, James J. Collins, and Peng Yin.
Complex cellular logic computation using ribocomputing devices. Nature, 2017. [cited on
page 18]

[101]

Charles M. Grinstead and J. Laurie Snell. Introduction to Probability. American Mathematical
Society, 2009. [cited on page 361]

[102]

Carl A. Gunter, Peter D. Mosses, and Dana S. Scott. Semantic domains and denotational
semantics. Technical Report MS-CIS-89-16, University of Pennsylvania, February 1989. [cited
on page 577, 583]

[103]

John Michael Harris, Jeffry L Hirst, and Michael J Mossinghoff. Combinatorics and graph
theory, volume 2. Springer, 2008. [cited on page 354]

[104]

Scott Hauck. Asynchronous design methodologies: An overview. Proceedings of the IEEE,
83(1):69–93, January 1995. [cited on page 17, 30]

[105]

Scott Hauck, Steven Burns, Geatano Borriello, and Carl Ebeling. An FPGA for implementing
asynchronous circuits. IEEE Design & Test of Computers, 11(3):60–69, 1994. [cited on page 19]

[106]

Matthew Hennessy and Robin Milner. Algebraic laws for nondeterminism and concurrency.
Journal of the Association for Computing Machinery, 32(1):137–161, 1985. [cited on page 19]

[107]

Maurice Herlihy. Wait-free synchronization. ACM Trans. Program. Lang. Syst., 13(1):124–149,
January 1991. [cited on page 19]

[108]

A. Hertz and V. V. Lozin. The maximum independent set problem and augmenting graphs. In
Avis D., Hertz A., and Marcotte O., editors, Graph Theory and Combinatorial Optimization.
Springer, Boston, MA, USA, 2005. [cited on page 366]

[109]

Carl H. Heymann, Hendrik C. Ferreira, and Jos H. Weber. A Knuth-based RDS-minimizing
multi-mode code. In Information Theory Workshop (ITW), 2011 IEEE, pages 508–512. IEEE,
2011. [cited on page 411]

[110]

J. Roger Hindley and Jonathan P. Seldin. Lambda-calculus and combinators: an introduction,
volume 13. Cambridge University Press Cambridge, 2008. [cited on page 56]

[111]

Ron Ho, Kenneth W. Mai, and Mark Horowitz. The future of wires. Proceedings of the IEEE,
89(4):490–504, 2001. [cited on page 30, 617]

[112]

C. A. R. Hoare. Communicating Sequential Processes. Prentice-Hall International Series in
Computer Science. Prentice/Hall International, 1985. [cited on page 532]

[113]

Tony Hoare, Stephan van Staden, Bernhard Möller, Georg Struth, Jules Villard, Huibiao Zhu,
and Peter OHearn. Developments in concurrent Kleene algebra. In International Conference
on Relational and Algebraic Methods in Computer Science, pages 1–18. Springer, 2014. [cited
on page 19]

[114]

Paul Hoffman. The Man Who Loved Only Numbers: The Story of Paul Erdös and the Search for
Mathematical Truth. London: Fourth Estate, 1998. [cited on page 583]

[115]

John E. Hopcroft, Rajeev Motwani, and Jeffrey Ullman. Introduction to Automata Theory,
Languages, and Computation. Addison-Wesley, 2001. [cited on page 19, 40, 68, 74, 76, 78,
147, 158, 176]

[116]

Heidi Howard and Richard Mortier. A generalised solution to distributed consensus. arXiv
preprint arXiv:1902.06776, 2019. [cited on page 533]

[117]

Jiang Hu and Sachin S. Sapatnekar. A survey on multi-net global routing for integrated
circuits. Integration, the VLSI Journal, 31(1):1–49, 2001. [cited on page 19]

[118]

David A. Huffman. The design and use of hazard-free switching networks. J. ACM, 4(1):47–62,
January 1957. [cited on page 21]

[119]

N. Huot, H. Dubreuil, Laurent Fesquet, and Marc Renaudin. FPGA
Architecture for MultiStyle Asynchronous Logic. In Design, Automation,
and Test in Europe, pages 32–33, 2005.  [cited on page 19]

[120]

Masashi Imai, Tomohiro Yoneda, and Takashi Nanya. N-way ring and square arbiters. In
Computer Design, 2009. ICCD 2009. IEEE International Conference on, pages 125–130. IEEE,
2009. [cited on page 359, 362]

[121]

Nabil Imam and Rajit Manohar. Address-event communication using token-ring mutual
exclusion. In Asynchronous Circuits and Systems (ASYNC), 2011 17th IEEE International
Symposium on, pages 99–108. IEEE, 2011. [cited on page 381]

[122]

Kees A. Schouhamer Immink. Codes for mass data storage systems. Shannon Foundation
Publisher, 2004. [cited on page 411]

[123]

Lubomir Ivanov and Ramakrishna Nunna. Modeling and verification of cache coherence
protocols. In IEEE International Symposium on Circuits and Systems, pages 129–132, 2001.
[cited on page 19]

[124]

K. W. James and K. Y. Yun. Average-case optimized transistor-level technology mapping of
extended burst-mode circuits. In Proc. International Symposium on Advanced Research in
Asynchronous Circuits and Systems, pages 70–79, 1998. [cited on page 34]

[125]

Steven Dexter Johnson and Bhaskar Bose. DDD: A system for mechanized digital design
derivation. Technical Report 323, Computer Science Department, Indiana University, 1990.
[cited on page 202]

[126]

Geraint Jones. Designing circuits by calculation. Technical Report PRG
TR-10-90, Programming Research Group, Oxford University Computing
Laboratory, 1990. [cited on page 202]

[127]

Geraint Jones and Mary Sheeran. Relations and refinement in circuit design. In 3rd Refinement
Workshop, volume 90, pages 133–152, 1990. [cited on page 202]

[128]

Mark B. Josephs. Receptive process theory. Acta Informatica, 29(1):17–31, 1992. [cited on
page 45, 75, 98]

[129]

Mark B. Josephs and Hemangee K. Kapoor. Controllable delay-insensitive
processes. Fundamenta Informaticae, 78(1):101–130, 2007. [cited on
page 570]

[130]

Stasys Jukna. Extremal Combinatorics With Applications in Computer Science. Texts in
Theoretical Computer Science. Springer-Verlag, 2nd edition, 2011. [cited on page 411, 412]

[131]

Hiroto Kagotani and Takashi Nanya. Synthesis of two-phase quasi-delay-insensitive circuits
from dependency graphs. Systems and computers in Japan, 26(4):11–19, 1995. [cited on
page 573]

[132]

Rajgopal Kannan. The KR-Benes network: a control-optimal rearrangeable permutation
network. Computers, IEEE Transactions on, 54(5):534–544, 2005. [cited on page 220]

[133]

Hemangee K. Kapoor, Mark B. Josephs, and Dennis Furey. Verification and
implementation of delay-insensitive processes in restrictive
environments. Fundamenta Informaticae, 70(1):21–48, 2006. [cited on
page 59, 570]

[134]

R. Karmazin, C.T. Ortega Otero, and R. Manohar. CellTK: Automated layout for asynchronous
circuits with nonstandard cells. In The 19th International Symposium on Asynchronous Circuits
and Systems. IEEE, 2013. [cited on page 16]

[135]

Randy H. Katz and Gaetano Borriello. Contemporary Logic Design. Pearson Prentice Hall,
2005. [cited on page 448]

[136]

Robert M. Keller. Towards a theory of universal speed-independent modules. IEEE Transactions
on Computers, C-23(1):21–33, January 1974. [cited on page 17, 26, 250, 258, 573]

[137]

Robert M. Keller. Computer science: Abstraction to implementation. (self-published) last
available at https://www.cs.hmc.edu/~keller/cs60book/%20%20%20All.pdf, 2001. [cited
on page 17]

[138]

Sean Keller, Michael Katelman, and Alain J. Martin. A necessary and sufficient timing
assumption for speed-independent circuits. In Asynchronous Circuits and Systems, 2009.
ASYNC’09. 15th IEEE Symposium on, pages 65–76. IEEE, 2009. [cited on page 30]

[139]

Jeremy Kepner and John Gilbert (eds.). Graph Algorithms in the Language of Linear Algebra.
Software, Environments, and Tools. SIAM, 2011. [cited on page 322]

[140]

David J. Kinniment. He who hesitates is lost. (self-published) last available at http://
www.async.org.uk/David.Kinniment/DJKinniment-He-Who-Hesitates-is-Lost.pdf. [cited on
page 15]

[141]

John C. Knight and Nancy G. Leveson. An experimental evaluation of the assumption of
independence in multiversion programming. IEEE Transactions on software engineering,
(1):96–109, 1986. [cited on page 211]

[142]

John C. Knight and Nancy G. Leveson. A reply to the criticisms of the Knight & Leveson
experiment. ACM SIGSOFT Software Engineering Notes, 15(1):24–35, 1990. [cited on
page 211]

[143]

Donald E. Knuth. The Art of Computer Programming, Volume 3 / Sorting and Searching.
Addison-Wesley, 1973. [cited on page 16]

[144]

Donald E. Knuth. Notes on the van Emde Boas construction of priority deques: An instructive
use of recursion. https://staff.fnwi.uva.nl/p.vanemdeboas/knuthnote.pdf, March 1977.
[cited on page 211]

[145]

Donald E. Knuth. Efficient balanced codes. IEEE Transactions on Information Theory,
32(1):51–53, 1986. [cited on page 411]

[146]

Donald E. Knuth. The Art of Computer Programming, Volume 4, Fascicle 3: Generating All
Combinations and Partitions. Addison-Wesley Professional, 2005. [cited on page 365]

[147]

Cheng-Kok Koh and Patrick H. Madden. Manhattan or non-Manhattan?: a study of alternative
VLSI routing architectures. In Proceedings of the 10th Great Lakes symposium on VLSI, pages
47–52. ACM, 2000. [cited on page 19]

[148]

Alex Kondratyev, Michael Kishinevsky, Bill Lin, Peter Vanbekbergen, and Alex Yakovlev. Basic
gate implementation of speed-independendent circuits. In Design Automation, 1994. 31st
Conference on, pages 56–62. IEEE, 1994. [cited on page 30]

[149]

Alex Kondratyev, Michael Kishinevsky, and Alexandre Yakovlev. Hazard-free implementation
of speed-independent circuits. Computer-Aided Design of Integrated Circuits and Systems, IEEE
Transactions on, 17(9):749–771, 1998. [cited on page 30]

[150]

Thomas S. Kuhn. The structure of scientific revolutions. University of Chicago press, 2012.
[cited on page 575]

[151]

Ian Kuon, Russell Tessier, and Jonathan Rose. FPGA architecture: Survey and challenges.
Foundations and Trends in Electronic Design Automation, 2(2):135–253, 2008. [cited on
page 18]

[152]

Michihiro Kuramochi and George Karypis. In Proceedings 2001 IEEE International Conference
on Data Mining, pages 313–320. IEEE, November 2001. [cited on page 532]

[153]

Leslie Lamport. Generalized consensus and Paxos. Technical Report MSR-TR-2005-33,
Microsoft Research, 2005. [cited on page 533]

[154]

Joseph Lauer and Nicholas Wormald. Large independent sets in regular graphs of large girth.
Journal of Combinatorial Theory, Series B, 97:999=1009, 2007. [cited on page 366]

[155]

Jakob Lechner, Andreas Steininger, and Florian Huemer. Methods for analysing and improving
the fault resilience of delay-insensitive codes. In Computer Design (ICCD), 2015 33rd IEEE
International Conference on, pages 519–526. IEEE, 2015. [cited on page 413]

[156]

Edward A. Lee and Thomas Parks. Dataflow process networks. In Proceedings of the IEEE,
pages 773–799, 1995. [cited on page 19]

[157]

Jae W. Lee, Daihyun Lim, Blaise Gassend, G. Edward Suh, Marten Van Dijk, and Srinivas
Devadas. A technique to build a secret key in integrated circuits for identification and
authentication applications. In VLSI Circuits, 2004. Digest of Technical Papers. 2004 Symposium
on, pages 176–179. IEEE, 2004. [cited on page 439]

[158]

Hyung Lee-Kwang, Joël Favrel, and Pierre Baptiste. Generalized Petri net reduction method.
Systems, Man and Cybernetics, IEEE Transactions on, 17(2):297–303, 1987. [cited on page 66,
236]

[159]

Eric Lehman, F. Thomson Leighton, and Albert R. Meyer. Mathematics for computer science.
https://courses.csail.mit.edu/6.042/spring18/mcs.pdf, 2018. [cited on page 16, 361]

[160]

Guy G. Lemieux and Stephen D. Brown. A detailed routing algorithm for allocating wire
segments in field-programmable gate arrays. In ACM-SIGDA Physical Design Workshop, 1993.
[cited on page 19]

[161]

Jacques Lenfant. Parallel permutations of data: A Benes network control algorithm for
frequently used permutations. Computers, IEEE Transactions on, 100(7):637–647, 1978.
[cited on page 220]

[162]

Gavriela Freund Lev, Nicholas Pippenger, and Leslie G Valiant. A fast parallel algorithm for
routing in permutation networks. Computers, IEEE Transactions on, 100(2):93–100, 1981.
[cited on page 220]

[163]

Oscar Levin. Discrete mathematics, an open introduction. http://discretetext.oscarlevin.
com/pdfs/dmoi-tablet.pdf, 2016. [cited on page 16, 86, 121]

[164]

Jens Lienig. A parallel genetic algorithm for performance-driven VLSI routing. Evolutionary
Computation, IEEE Transactions on, 1(1):29–39, 1997. [cited on page 19]

[165]

Jens Lienig and Krishnaiyan Thulasiraman. A genetic algorithm for channel routing in VLSI
circuits. Evolutionary Computation, 1(4):293–311, 1993. [cited on page 19]

[166]

Francisco S. N. Lobo. Exotic solutions in general relativity: Traversable wormholes and “warp
drive” spacetimes. arXiv preprint arXiv:0710.4474, 2007. [cited on page 30]

[167]

Hock Soon Low, Delong Shang, Fei Xia, and Alex Yakovlev. Variation tolerant asynchronous
FPGA. Technical Report NCL-EECE-MSD-TR-2010-163, Newcastle University, December 2010.
[cited on page 19]

[168]

Mei Lu, Huiqing Liu, and Feng Tian. Laplacian spectral bounds for clique and independence
numbers of graphs. Journal of Combinatorial Theory, Series B, 97:726–732, 2007. [cited on
page 366]

[169]

Wayne Luk, Geraint Jones, and Mary Sheeran. Computer-based tools for regular array design.
Systolic array processors, pages 589–598, 1989. [cited on page 202]

[170]

Carlos J. Luz. An upper bound on the independence number of a graph computable in
polynomial-time. Operations Research Letters, 18:139–145, 1995. [cited on page 366]

[171]

Nancy A. Lynch and Mark R. Tuttle. Hierarchical correctness proofs for distributed algorithms.
Technical Report MIT/LCS/TR-387, Massachusetts Institute of Technology, 1987. [cited on
page 45]

[172]

W. C. Mallon, J. T. Udding, and T. Verhoeff. Analysis and applications of the XDI model. In
Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems,
pages 231–242, April 1999. [cited on page 34, 570]

[173]

B. B. Mandelbrot. The Fractal Geometry of Nature. Einaudi paperbacks. Henry Holt and
Company, 1983. [cited on page 19]

[174]

Reinhard Männer. Metastable states in asynchronous digital systems:
Avoidable or unavoidable? Microelectronics Reliability, 28(2):295–307,
1988. [cited on page 405]

[175]

M. Morris Mano, Charles R. Kime, and Tom Martin. Logic and Computer Design Fundamentals.
Pearson Higher Education, Inc., 2015. [cited on page 359, 448]

[176]

R. Manohar and A. J. Martin. Quasi-delay-insensitive circuits are Turing complete. In Proc.
International Symposium on Advanced Research in Asynchronous Circuits and Systems. IEEE
Computer Society Press, March 1996. [cited on page 573]

[177]

Rajit Manohar. Reconfigurable asynchronous logic. In IEEE Custom Integrated Circuits
Conference, pages 13–20, 2006. [cited on page 19]

[178]

Rajit Manohar and Yoram Moses. Analyzing isochronic forks with potential causality. In
International Symposium on Asynchronous Circuits and Systems (ASYNC). IEEE, May 2015.
[cited on page 30]

[179]

Alain J. Martin. A delay-insensitive fair arbiter. Technical Report 5193:TR:85, California
Institute of Technology, 1985. [cited on page 359]

[180]

Alain J. Martin. The design of a self-timed circuit for distributed mutual exclusion. In
Henry Fuchs, editor, Proceedings of the 1985 Chapel Hill Conference on VLSI, pages 245–260.
Computer Science Press, 1985. [cited on page 359]

[181]

Alain J. Martin. On Seitz’s arbiter. Technical Report 5212:TR:86, California Institute of
Technology, March 1985. [cited on page 256]

[182]

Alain J. Martin. The limitations to delay-insensitivity in asynchronous circuits. In William J.
Dally, editor, Advanced Research in VLSI, pages 263–278. MIT Press, 1990. [cited on page 30,
575]

[183]

Alain J. Martin. 25 years ago: The first asynchronous microprocessor. Technical Report
CS-TR-1-2014, California Institute of Technology, January 2014. [cited on page 573]

[184]

Alain J. Martin, Steven M. Burns, T. K. Lee, Drazen Borkovic, and Pieter J. Hazewindus. The
design of an asynchronous microprocessor. In Charles L. Seitz, editor, Advanced Research in
VLSI, pages 351–373. MIT Press, 1989. [cited on page 573]

[185]

Alain J. Martin and Mika Nystrom. Asynchronous techniques for system-on-chip design.
Proceedings of the IEEE, 94(6):1089–1120, 2006. [cited on page 573]

[186]

Alain J. Martin, Mika Nystrom, and Catherine G. Wong. Three generations of asynchronous
microprocessors. IEEE Design & Test of Computers, 20(6):9–17, 2003. [cited on page 573]

[187]

Pavlos M. Mattheakis and Christos P. Sotiriou. Polynomial complexity asynchronous control
circuit synthesis of concurrent specifications based on burst-mode FSM decomposition. In
2013 26th International Conference on VLSI Design and 2013 12th International Conference on
Embedded Systems, pages 251–256, 2013. [cited on page 16]

[188]

Arya Mazumdar, Ron M. Roth, and Pascal O. Vontobel. On linear balancing sets. In Information
Theory, 2009. ISIT 2009. IEEE International Symposium on, pages 2699–2703. IEEE, 2009.
[cited on page 413]

[189]

Gordon McComb et al. Electronics for dummies. John Wiley & Sons, 2011. [cited on page 217]

[190]

Scott McPeak and George C. Necula. Elkhound: A fast, practical GLR parser generator. In
Compiler Construction, pages 73–88. Springer, 2004. [cited on page 53]

[191]

Michael Mendler and Terry Stroup. Newtonian arbiters cannot be proven correct. Formal
Methods in System Design, 3(3), December 1993. [cited on page 256, 405, 607]

[192]

Chris Meyers. Asynchronous design and beyond. In This Asynchronous World, Essays dedicated
to Alex Yakovlev on the occasion of his 60th birthday, pages 236–240. Newcastle University,
2016. [cited on page 16]

[193]

Dimitrios Milios. Probability distributions as program variables. Master’s thesis, School of
Informatics, University of Edinburgh, 2009. [cited on page 603]

[194]

Gabriele Miorandi, Davide Bertozzi, and Steven M. Nowick. Increasing impartiality and
robustness in high-performance n-way asynchronous arbiters. In Asynchronous Circuits and
Systems (ASYNC), 2015 21st IEEE International Symposium on, pages 108–115. IEEE, 2015.
[cited on page 359, 363]

[195]

Ian Mitchell. Proving Newtonian arbiters correct, almost surely. Master’s thesis, The University
of British Columbia, October 1994. [cited on page 607]

[196]

Isi Mitrani and Alex Yakovlev. Tree arbiter with nearest-neighbour scheduling. In Advances in
Computer and Information Sciences. ISCIS, 1998. [cited on page 407]

[197]

Ethan Mollick. Establishing Moore’s law.
Annals of the History of Computing, IEEE,
28(3):62–75, 2006. [cited on page 17]

[198]

Eric Monmasson and Marciam N. Cirstea. FPGA design methodology for industrial control
systems – A review. IEEE Transactions on Industrial Electronics, 54(4), 2007. [cited on page 19]

[199]

Michael S. Morris, Kip S. Thorne, and Ulvi Yurtsever. Wormholes, time machines, and the
weak energy condition. Physical Review Letters, 61(13):1446, 1988. [cited on page 30]

[200]

Daniel Morrison and Irek Ulidowski. Arbitration and reversibility of parallel delay-insensitive
modules. In International Conference on Reversible Computation, pages 67–81. Springer, 2014.
[cited on page 251]

[201]

Tadao Murata. Petri Nets: Properties, Analysis and Applications. Proceedings of the IEEE,
77:541–580, 1989. [cited on page 19, 47]

[202]

John Nagle. Congestion control in IP/TCP internetworks. SIGCOMM Comput. Commun. Rev.,
14(4):11–17, October 1984. [cited on page 529]

[203]

Takashi Nanya, Yoichiro Ueno, Hiroto Kagotani, Masashi Kuwako, and Akihiro Takamura.
TITAC: Design of a quasi-delay-insensitive microprocessor. IEEE Design & Test of Computers,
11(2):50–63, 1994. [cited on page 573]

[204]

Syed Rameez Naqvi and Andreas Steininger. A tree arbiter cell for high speed resource
sharing in asynchronous environments. In Proceedings of the conference on Design, Automation
& Test in Europe, page 295. European Design and Automation Association, 2014. [cited on
page 359]

[205]

Nam-phuong D. Nguyen, Hiroyuki Kuwahara, Chris J. Myers, and James P. Keener. The design
of a genetic Muller C-element. In The 13th IEEE International Symposium on Asynchronous
Circuits and Systems, 2007. [cited on page 18]

[206]

Jean P. Nicolle. Where FPGAs are fun. http://www.fpga4fun.com/. [cited on page 18]

[207]

Rishiyur S. Nikhil. Bluespec: A General-Purpose Approach to High-Level Synthesis Based on
Parallel Atomic Transactions, pages 129–146. Springer Netherlands, Dordrecht, 2008. [cited
on page 21]

[208]

S. Nikoletseas, C. Raptopoulos, and P. Spirakis. Large independent sets in general random
intersection graphs. Theoretical Computer Science, 406(3):215–224, October 2008. [cited on
page 366]

[209]

Ligia Nistor, Darya Kurilova, Stephanie Balzer, Benjamin Chung, Alex Potanin, and Jonathan
Aldrich. Wyvern: A simple, typed, and pure object-oriented language. In Proceedings of the
5th Workshop on MechAnisms for SPEcialization, Generalization and inHerItance, pages 9–16.
ACM, 2013. [cited on page 53]

[210]

Steven M. Nowick. Automatic Synthesis of Burst-Mode Asynchronous Controllers. PhD thesis,
Stanford University, Department of Computer Science, 1993. [cited on page 30, 34]

[211]

Richard K. Obousy and Eric W. Davis. Warp drive, dark energy, and the manipulation of extra
dimensions. Technical Report DIA-08-1004-001, Defense Intelligence Agency, December
2009. [cited on page 30]

[212]

John o’Donnell. Teaching functional circuit specification in hydra. In Funtional Programming
Languages in Education, pages 195–214. Springer, 1995. [cited on page 202]

[213]

Severo M. Ornstein, Mishell J. Stucki, and Wesley A. Clark. A functional description of
macromodules. In AFIPS Conference Proceedings: 1967 Spring Joint Computer Conference,
volume 30, pages 337–355, Atlantic City, NJ, 1967. Academic Press. [cited on page 250]

[214]

Samir Palnitkar. Verilog HDL: a guide to digital design and synthesis, volume 1. Prentice Hall
Professional, 2003. [cited on page 21]

[215]

André Pang, Don Stewart, Sean Seefried, and Manuel MT Chakravarty. Plugging Haskell
in. In Proceedings of the 2004 ACM SIGPLAN workshop on Haskell, pages 10–21. ACM, 2004.
[cited on page 53]

[216]

Hongsik Park, Ali Afzali, Shu-Jen Han, George S Tulevski, Aaron D Franklin, Jerry Tersoff,
James B Hannon, and Wilfried Haensch. High-density integration of carbon nanotubes via
chemical self-assembly. Nature nanotechnology, 7(12):787–791, 2012. [cited on page 17]

[217]

Terence Parr et al. Antlr parser generator. Online Stand Dezember, 2009. [cited on page 53]

[218]

Enric Pastor, Jordi Cortadella, Alex Kondratyev, and Oriol Roig. Structural methods for
the synthesis of speed-independent circuits. IEEE Transactions on Computer-Aided Design,
17(11):1108–1129, November 1998. [cited on page 30]

[219]

Enric Pastor, Oriol Roig, Jordi Cortadella, and Rosa M. Badia. Petri net analysis using boolean
manipulation. In 15th International Conference on Application and Theory of Petri Nets, June
1994. [cited on page 66, 236]

[220]

Priyadarsan Patra. Approaches to Design of Circuits for Low-Power Computation. PhD thesis,
The University of Texas at Austin, 1995. [cited on page 283]

[221]

Priyadarsan Patra and Donald Fussel. Efficient building blocks for delay insensitive circuits.
In Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems,
pages 196–205, November 1994. [cited on page 72, 573]

[222]

Priyadarsan Patra and Donald S. Fussell. Building-blocks for designing DI circuits. Technical
report tr93-23, Dept. of Computer Sciences, The University of Texas at Austin, November
1993. [cited on page 250, 251, 252, 258, 261, 275, 276, 419]

[223]

Priyadarsan Patra and Donald S. Fussell. Fully asynchronous, robust,
high-throughput arithmetic structures. In Proc. of Eighth
International Conference on VLSI Design. IEEE Computer Society Press,
January 1995. [cited on page 17, 410, 573]

[224]

Priyadarsan Patra, Donald S. Fussell, and Stanislav Polonsky. Delay insensitive logic for
RSFQ superconductor technology. In Proc. International Symposium on Advanced Research in
Asynchronous Circuits and Systems, pages 42–53. IEEE Computer Society Press, April 1997.
[cited on page 18]

[225]

Volnei A. Pedroni. Circuit design with VHDL. MIT press, 2004. [cited on page 21]

[226]

Ad Peeters and Kees van Berkel. Single-rail handshake circuits. In Asynchronous Design
Methodologies, pages 53–62. IEEE Computer Society Press, May 1995. [cited on page 251]

[227]

Doron Peled. All from one, one for all: on model checking using representatives. In Computer
Aided Verification, pages 409–423. Springer, 1993. [cited on page 66]

[228]

Song Peng, David Fang, John Teifel, and Rajit Manohar. Automated
synthesis for asynchronous FPGAs. In Proceedings of the 2005 ACM/SIGDA
13th international symposium on Fieldprogrammable gate arrays, pages
163–173. ACM, 2005. [cited on page 19]

[229]

Ferdinand Peper, Jia Lee, Susumu Adachi, and Shinro Mashiko. Laying out circuits on
asynchronous cellular arrays: a step towards feasible nanocomputers? Nanotechnology,
14:469–485, 2003. [cited on page 17]

[230]

Stanislaw J. Piestrak and Takashi Nanya. Towards totally self-checking
delay-insensitive systems. In Fault-Tolerant Computing,
1995. FTCS-25. Digest of Papers., Twenty-Fifth International Symposium
on, pages 228–237. IEEE, 1995. [cited on page 573]

[231]

Juha Plosila, R. Rukšenas, and Kaisa Sere. Delay-insensitive circuits and action systems.
Technical Report 60, Turku Centre for Computer Science, November 1996. [cited on page 573]

[232]

Ivan Poliakov. Interpreted Graph Models. PhD thesis, Schoole of EECE, Newcastle University,
2011. [cited on page 39]

[233]

Juan Pontes, Ney Calazans, and Pascal Vivet. H2A: A hardened asynchronous network on
chip. In Integrated Circuits and Systems Design (SBCCI), 2013 26th Symposium on, pages 1–6.
IEEE, 2013. [cited on page 573]

[234]

Andrew Putnam, Adrian M Caulfield, Eric S Chung, Derek Chiou, Kypros Constantinides,
John Demme, Hadi Esmaeilzadeh, Jeremy Fowers, Gopi Prashanth Gopal, Jordan Gray, et al. A
reconfigurable fabric for accelerating large-scale datacenter services. In Computer Architecture
(ISCA), 2014 ACM/IEEE 41st International Symposium on, pages 13–24. IEEE, 2014. [cited
on page 19]

[235]

Julian Rathke, Paweł Sobociński, and Owen Stephens. Compositional reachability in Petri
nets. In Reachability Problems, pages 230–243. Springer, 2014. [cited on page 88]

[236]

Raúl Rojas. A tutorial introduction to the lambda calculus. arXiv preprint arXiv:1503.09060,
2015. [cited on page 56]

[237]

A. W. Roscoe. Theory and Practice of Concurrency. Prentice-Hall (Pearson), 2005. [cited on
page 532]

[238]

Saleh Safiruddin. Single electron tunneling based building blocks for delay insensitive circuits.
Master’s thesis, Delft University of Technology, 2008. [cited on page 18]

[239]

Maarten P. D. Schadd, Mark H. M. Winands, H. Jaap Van Den Herik, Guillaume M. J-B.
Chaslot, and Jos W. H. M. Uiterwijk. Single-player monte-carlo tree search. In International
Conference on Computers and Games, pages 1–12. Springer, 2008. [cited on page 304]

[240]

David A. Schmidt. Denotational semantics: A methodology for language
development. (selfpublished) last available at
http://people.cs.ksu.edu/~schmidt/text/DenSem-full-book.pdf,
1997. [cited on page 577]

[241]

Karsteb Schmidt. Stubborn sets for standard properties. In Application and Theory of Petri
Nets 1999, pages 46–65. Springer, 1999. [cited on page 66]

[242]

Dana Scott and Christopher Strachey. Toward a mathematical semantics for computer
languages. Technical Report PR6-6, Oxford University Computing Laboratory, August 1971.
[cited on page 577]

[243]

Roberto Segala. Quiescence, fairness, testing, and the notion of
implementation. In CONCUR’93, pages 324–338. Springer, 1993. [cited on
page 73]

[244]

J. P. L. Segers. The design and analysis of asynchronous up-down counters. Master’s thesis,
Dept. of Math. and C.S., Eindhoven Univ. of Technology, June 1993. [cited on page 250, 268]

[245]

Charles L. Seitz. Ideas about arbiters. Lambda, 1(1, First Quarter):10–14, 1980. [cited on
page 359]

[246]

Charles L. Seitz. System timing. In Carver A. Mead and Lynn A. Conway, editors, Introduction
to VLSI Systems, chapter 7. Addison-Wesley, 1980. [cited on page 359]

[247]

Jakov N Seizovic. Pipeline synchronization. In Advanced Research in Asynchronous Circuits
and Systems, 1994., Proceedings of the International Symposium on, pages 87–96. IEEE, 1994.
[cited on page 256]

[248]

John M. Shalf and Robert Leland. Computing beyond moore’s law. Computer, 48(12):14–23,
2015. [cited on page 17]

[249]

Maitham Shams. Modeling and Optimization of CMOS Logic Circuits with Application to
Asynchronous Design. PhD thesis, Department of Electrical and Computer Engineering,
University of Waterloo, Waterloo, Ontario, Canada, May 1999. [cited on page 250]

[250]

Maitham Shams, Jo C. Ebergen, and Mohamed I. Elmasry. Modeling and
comparing CMOS implementations of the C-element. IEEE Transactions on
VLSI Systems, 6(4):563–567, December 1998. [cited on page 27]

[251]

Naresh R Shanbhag, Subhasish Mitra, Gustavode de Veciana, Michael Orshansky, Radu
Marculescu, Jaijeet Roychowdhury, Douglas Jones, and Jan M Rabaey. The search for
alternative computational paradigms. IEEE Design & Test of Computers, (4):334–343, 2008.
[cited on page 17]

[252]

Robin Sharp and Ole Rasmussen. Using a language of functions and relations for VLSI
specification. In Proceedings of the seventh international conference on Functional programming
languages and computer architecture, pages 45–54. ACM, 1995. [cited on page 202]

[253]

Sol M. Shatz, Shengru Tu, Tadao Murata, and Sastry Duri. An application of Petri net reduction
for Ada tasking deadlock analysis. Parallel and Distributed Systems, IEEE Transactions on,
7(12):1307–1322, 1996. [cited on page 66, 236]

[254]

Mary Sheeran. muFP, a language for VLSI design. In Proceedings of the 1984 ACM Symposium
on LISP and functional programming, pages 104–112. ACM, 1984. [cited on page 202]

[255]

Mary Sheeran. Hardware design and functional programming: a perfect match. J. UCS,
11(7):1135–1158, 2005. [cited on page 202]

[256]

Klaus Simon. A note on lexicographic breadth first search for chordal graphs. Information
Processing Letters, 54:249–251, 1995. [cited on page 366]

[257]

T. Singh and A. Taubin. A highly scalable GALS crossbar using token ring arbitration. IEEE
Design & Test of Computers, 24:464–472, September 2007. [cited on page 381]

[258]

Kostas Siozios, George Koutroumpezis, Konstantinos Tatas, Dimitrios Soudris, and Adonios
Thanailakis. DAGGER: A novel generic methodology for FPGA bitstream generation and
its software tool implementation. In Parallel and Distributed Processing Symposium, 2005.
Proceedings. 19th IEEE International, pages 4–pp. IEEE, 2005. [cited on page 19]

[259]

Michael Sipser. Introduction to the Theory of Computation. Cengage Learning, 2012. [cited
on page 158, 358]

[260]

Scott C. Smith, Ronald F. DeMara, Jiann S. Yuan, D. Ferguson, and D. Lamb. Optimization of
null convention self-timed circuits. INTEGRATION, the VLSI journal, 37(3):135–165, 2004.
[cited on page 251]

[261]

Jan L. A. van de Snepscheut. Trace Theory and VLSI Design, volume 200 of Lecture Notes in
Computer Science. Springer-Verlag, 1985. [cited on page 45]

[262]

Ali Asgar Sohanghpurwala, Peter Athanas, Tannous Frangieh, and Aaron Wood. OpenPR:
An open-source partial-reconfiguration toolkit for Xilinx FPGAs. In Parallel and Distributed
Processing Workshops and Phd Forum (IPDPSW), 2011 IEEE International Symposium on, pages
228–235. IEEE, 2011. [cited on page 19]

[263]

Danil Sokolov, Victor Khomenko, and Andrey Mokhov. Workcraft: ten years later. In This
Asynchronous World, Essays dedicated to Alex Yakovlev on the occasion of his 60th birthday,
pages 269–293. Newcastle University, 2016. [cited on page 16]

[264]

Ritesh K. Soni. Open-Source Bitstream Generation for FPGAs. PhD thesis, Virginia Tech, 2013.
[cited on page 19]

[265]

Ritesh Kumar Soni, Neil Steiner, and Matthew French. Open-source bitstream generation. In
21st Annual International Symposium on Field-Programmable Custom Computing Machines.
IEEE, 2013. [cited on page 19]

[266]

J. Sparsø and S. Furber (eds.). Principles of Asynchronous Circuit Design. Springer, 2002.
[cited on page 16, 30, 573]

[267]

Nattha Sretasereekul and Takashi Nanya. Eliminating isochronic-fork
constraints in quasidelay-insensitive circuits. IEICE TRANSACTIONS on
Fundamentals of Electronics, Communications and Computer Sciences,
86(4):900–907, 2003. [cited on page 573]

[268]

Neil Steiner, Aaron Wood, Hamid Shojaei, Jacob Couch, Peter Athanas, and Matthew French.
Torc: towards an open-source tool flow. In Proceedings of the 19th ACM/SIGDA international
symposium on field programmable gate arrays, pages 41–44. ACM, 2011. [cited on page 19]

[269]

Joseph E. Stoy. Denotational semantics: the Scott-Strachey approach to programming language
theory. MIT press, 1977. [cited on page 577]

[270]

Ted Sundstrom. Mathematical reasoning: Writing and proof. https://www.tedsundstrom.
com/mathreasoning, 2018. [cited on page 86, 121]

[271]

Gerry Sussman, Harold Abelson, and Julie Sussman. Structure and interpretation of computer
programs. MIT Press, Cambridge, Mass, 1983. [cited on page 16]

[272]

Ivan E. Sutherland. Micropipelines. Communications of the ACM, 32(6):720–738, June 1989.
[cited on page 25, 250, 483, 486]

[273]

Ivan E. Sutherland. The tyranny of the clock. Communications of the ACM, 55(10):35–36,
October 2012. [cited on page 617]

[274]

Ivan E. Sutherland and Jo Ebergen. Computers without clocks. Scientific American, 287(2),
August 2002. [cited on page 15]

[275]

Zoltán Gendler Szabó. Compositionality. In Edward N. Zalta, editor, The Stanford Encyclopedia
of Philosophy. Metaphysics Research Lab, Stanford University, 2017. [cited on page 52]

[276]

Luca Tallini. Design of some new efficient balanced codes. Master’s thesis, Oregon State
University, 1994. [cited on page 411]

[277]

Lev Vasilevich Tarasov. The world is built on probability. Mir, 1988. [cited on page 361]

[278]

Luis A. Tarazona, Doug A. Edwards, and Luis A. Plana. A synthesisable quasi-delay insensitive
result forwarding unit for an asynchronous processor. In Digital System Design, Architectures,
Methods and Tools, 2009. DSD’09. 12th Euromicro Conference on, pages 627–634. IEEE, 2009.
[cited on page 573]

[279]

R. Tarjan and M. Yannakakis. Simple linear-time algorithm to test chordality of graphs,
test acyclicity of hypergraphs, and selectively reduce acyclic hypergraphs. SIAM Journal of
Computing, 13:566–579, 1984. [cited on page 366]

[280]

Alexander Taubin, Jordi Cortadella, Luciano Lavagno, Alex Kondratyev, and Ad Peeters.
Design automation of real-life asynchronous devices and systems. Foundations and Trends in
Electronic Design Automation, 2(1):1–133, 2007. [cited on page 15, 573]

[281]

P. Teehan, M. Greenstreet, and G. Lemieux. A survey and taxonomy of GALS design styles.
IEEE Design & Test of Computers, 24(5):418–428, 2007. [cited on page 15]

[282]

John Teifel and Rajit Manohar. An asynchronous dataflow FPGA architecture. Computers,
IEEE Transactions on, 53(11):1376–1392, 2004. [cited on page 19]

[283]

Richard F. Tinder. Asynchronous sequential machine design and analysis: A comprehensive
development of the design and analysis of clock-independent state machines and systems.
Synthesis Lectures on Digital Circuits and Systems, 4(1):1–236, 2009. [cited on page 34]

[284]

William Benjamin Toms. Synthesis of quasi-delay-insensitive datapath circuits. PhD thesis,
University of Manchester, 2006. [cited on page 251]

[285]

Stephen Trimberger. Effects of FPGA architecture on FPGA routing. In Proceedings of the
32nd annual ACM/IEEE Design Automation Conference, pages 574–578. ACM, 1995. [cited
on page 19]

[286]

Alan Tucker. Polya’s enumeration formula by example. Mathematics magazine, 47(5):248–256,
1974. [cited on page 354]

[287]

Jan Tijmen Udding. A formal model for defining and classifying delay-insensitive circuits.
Distributed Computing, 1(4):197–204, 1986. [cited on page 28, 33, 36, 45, 71, 135]

[288]

Julian R. Ullmann. Bit-vector algorithms for binary constraint satisfaction and subgraph
isomorphism. J. Exp. Algorithmics, 15:1.6:1.1–1.6:1.64, February 2011. [cited on page 532]

[289]

Antti Valmari. Stubborn sets for reduced state space generation. In Advances in Petri Nets
1990, pages 491–515. Springer, 1991. [cited on page 66]

[290]

Antti Valmari. State of the art report: Stubborn sets. Petri Net Newsletter, 46:6–14, 1994.
[cited on page 66]

[291]

Antti Valmari and Henri Hansen. Can stubborn sets be optimal? Fundamenta Informaticae,
113(3):377–397, 2011. [cited on page 66]

[292]

Tom Verhoeff. Delay-insensitive codes—an overview. Distributed Computing, 3(1):1–8, 1988.
[cited on page 43, 183]

[293]

Tom Verhoeff. A Theory of Delay-Insensitive Systems. PhD thesis, Dept. of Math. and C.S.,
Eindhoven Univ. of Technology, May 1994. [cited on page 17, 31, 45, 256, 296, 573]

[294]

Thomas Villiger. Multi-point Interconnects for Globally-Asynchronous Locally-Synchronous
Systems. PhD thesis, ETH, Federal Institute of Technology Zurich, 2005. [cited on page 15,
256]

[295]

Eelco Visser. WebDSL: A case study in domain-specific language engineering. In Generative
and Transformational Techniques in Software Engineering II, pages 291–373. Springer, 2008.
[cited on page 53]

[296]

Markus Voelter, Sebastian Benz, Christian Dietrich, Birgit Engelmann,
Mats Helander, Lennart CL Kats, Eelco Visser, and Guido Wachsmuth. DSL
engineering: Designing, implementing and using domain-specific
languages. dslbook.org, 2013. [cited on page 53]

[297]

Abraham Waksman. A permutation network. Journal of the ACM (JACM), 15(1):159–163,
1968. [cited on page 220]

[298]

Jos H. Weber, Kees A. Schouhamer, Immink Hendrik, and C Ferreira. Extension of Knuth’s
balancing algorithm with error correction. 2012. [cited on page 413]

[299]

Stephen Weston, Jean-Tristan Marin, James Spooner, Oliver Pell, and
Oskar Mencer. Accelerating the computation of portfolios of tranched
credit derivatives. In Workshop on High Performance Computational
Finance, 2010. [cited on page 19]

[300]

David P. Williamson and David B. Shmoys. The Design of Approximation Algorithms. Cambridge
University Press, 2011. [cited on page 585]

[301]

Skyler Windh, Xiaoyin Ma, Robert J. Halstead, Prerna Budhkar, Zabdiel Luna, Omar Hussaini,
and Walid A Najjar. High-level language tools for reconfigurable computing. Proceedings of
the IEEE, 103(3):390–408, 2015. [cited on page 18]

[302]

Clifford Wolf and Mathias Lasser. Project IceStorm. http://www.clifford.at/icestorm/. [cited
on page 18]

[303]

Catherine G. Wong, Alain J. Martin, and Peter Thomas. An architecture for asynchronous
FPGAs. In In Proceedings of International Conference on Field Programmable Technology, pages
170–177, 2003. [cited on page 19]

[304]

Moe Thandar Wynn. Semantics, verification, and implementation of workflows with cancellation
regions and OR-joins. PhD thesis, Queensland University of Technology, 2006. [cited on
page 236]

[305]

Moe Thandar Wynn, H. M. W. Verbeek, Wil M. P. van der Aalst, Arthur H. M. ter Hofstede, and
David Edmond. Reduction rules for YAWL workflows with cancellation regions and OR-joins.
Information and Software Technology, 51(6):1010–1020, 2009. [cited on page 236]

[306]

Liming Xiu. Clock technology: The next frontier. IEEE Circuits and Systems Magazine,
17(2):27–46, 2017. [cited on page 19]

[307]

Alex Yakovlev, Pascal Vivet, and Marc Renaudin. Advances in asynchronous logic: From
principles to GALS & NoC, recent industry applications, and commercial CAD tools. In
Proceedings of the Conference on Design, Automation and Test in Europe, pages 1715–1724.
EDA Consortium, 2013. [cited on page 16]

[308]

Alexandre Yakovlev, Alexei Petrov, and Luciano Lavagno. A low latency arbitration circuit.
IEEE Transactions on VLSI Systems, pages 372–377, 1994. [cited on page 256]

[309]

Alexandre Yakovlev, Alexei Petrov, and Luciano Lavagno. A low latency asynchronous
arbitration circuit. IEEE Transactions on VLSI Systems, 2(3):372–377, September 1994. [cited
on page 359]

[310]

Kenneth Y. Yun and David L. Dill. Automatic synthesis of extended burst-mode circuits: Part I
(specification and hazard-free implementation). IEEE Transactions on Computer-Aided Design,
18(2):101–117, February 1999. [cited on page 34]

[311]

Fabio Zanasi. Interacting Hopf Algebras - the Theory of Linear Systems. PhD thesis, Ecole
Normale Supérieure de Lyon, 2015. [cited on page 203]